Electrical – Measuring power consumption of VHDL code

fpgapowerxilinx

I am trying to find power consumption of my vhdl code.I am going to use the power estimator in xilinx 9.2.Do the power analysis results vary in xilinx 9.2 and xilinx 14.7??

Also will xilinx provide accurate power analysis results ??

Is there any other tool to find acccurate power consumption of vhdl code??

Best Answer

Normaly there are some spreadsheets supplied by your FPGA maker to estimate the power compsuption based on clock speed and resource usage. I never managed to get them to work thought :)

i suggest you get your VHDL code synthetised , and then make it run in a dev board (same FPGA model & speedgrade), then measure the consumption of your "code".

this will give you an estimate, remember , always apply a tolerance factor to your results.