Electronic – Proper oscillator for CPLD

clockcrystalmicrocontrolleroscillatorprogrammable-logic

I plan to use KC5032A40 as a clock source for CoolRunnerXPLA3 256. I power it with 3.3V and interface it as suggested:

enter image description here

I perform the measurement at the Test Point and do not add any CL capacitance, the probe is set to 10x. Instead of getting theoretical 0V and 3.3V swings, I see -1V to 4V swings:

enter image description here

Questions:

  1. Is this a correct measurement? Will the oscillator provide proper voltage swings with some load on the output?

  2. Is this a reasonable choice for my application? Any suggestions for oscillator choice to drive CPLD?

  3. Is it a proper practice to connect the oscillator to any IO pin, or it should be connected to the one of the special CLK0:4 pins (table 4 of the manual)? What's the purpose of such pin as IN0/CLK0?

  4. Could I also use such oscillator as an external clock for a microcontroller instead of XTAL oscillator?

Best Answer

I use these osc modules for microcontrollers and EPLDs no problem. The over-voltage you are seeing is more than likely a "feature" of your scope probe. I get it on my Tektronix scope and I'm just "used to it"!!

For CPLD/EPLD/FPGAs there are dedicated pins for the master oscillator but if it isn't the master oscillator then no problem, connect it to any IO pin.