Electronic – Which synthesis tools support VHDL libraries

synthesisvhdl

On various places across the net, I read that (some) synthesis tools do not respect VHDL libraries. These tools just throw all entities and packages into a single namespace, so that you cannot have mylib.someEntity and yourLib.someEntity in the same project. I know that Altera Quartus used to have that problem last time I checked (but that was a while ago). I'm afraid that some of the info on usenet archives might be outdated, so I'm looking for up-to-date info.

I also believe most simulators support libraries today.

My question: Which synthesis tools do support VHDL libraries and which don't? If there are any simulators that do not support libraries, I'd also like to hear that.

Can you please also mention the version numbers of the tools, for future reference?

Best Answer

I use the following tools which all have full library support:

  • Riviera-PRO (from at least version 2006.02 onwards)
  • Xilinx ISE (from at least version 10 onwards)
  • Quartus (from at least version 10.0 onwards; Two or more entities with the same name cannot be used, however, only packages)
  • Synplify (from at least version 9.0 onwards)

The only caveat is that the XST tool in the Xilinx toolchain is incapable of performing automatic file ordering on projects where entity names exist in multiple libraries (it ungraciously gets stuck looping forever trying to resolve dependencies).