Electrical – Failing to program Cyclone IV GX device via JTAG

cyclonefpgaintel-fpga

I just got the aforementioned device and, upon writing a simple program (it compiles) and going into Programmer, when I try to program the device with the .sof file, I see is (Failed) and Quartus' Messages says

Error (209031): Device chain in Chain Description File does not match physical device chain -- expected 1 device(s) but found 2 device(s).

Furthermore, when I try to use the Board Test System that comes with the kit, I get

Java.lang.Exception: No USB Blaster detected.

even though I know 100% that I have the USB blaster driver installed, and have been using it with a different Cyclone II board.

I suspect there might be some sort of mismatch between the version of Quartus I have (13sp1) and the version of Quartus indicated by the development kit that comes with my device (12.1) but I cannot be sure.

Best Answer

The problem was with the fact that, in order to program the Cyclone, the Programmer needs all devices in the device list and it turns out there is a secondary Max II device that needs to be there as well. I did an Auto-Detect, removed the duplicate Cyclone, and everything worked find from then on.