Verilog Nested Modules – Are They Allowed?

fpgahdlverilog

module A (input a, input b)
...
module B (input a, input b)
...
endmodule
endmodule

Is the above allowed in Verilog?

Best Answer

I do not think it is allowed, may be if you want to do, you should use System Verilog. More information is available in this link.