Electronic – Does using only NAND/NOR gates increase circuit delay

delaydigital-logicmanufacturingperformance

I remember learning in school that one can construct any logical circuit from solely NAND or NOR gates.

First of all, I am wondering if this is how it's actually done: i.e. when Intel makes a CPU, do they build all the registers, etc. using NAND/NOR gates, or do they have some other fancier way of doing things?

Secondly, I'm wondering if constructing everything in this manner increases propagation delay compared to a circuit made using AND/OR/NOT gates as well.

I know that when using PMOS/NMOS configurations to build gates, an AND or an OR comes out as 2 stages as opposed to a NAND or a NOR which are both only 1. Since I know you can make an AND from 2 cascaded NANDs and an OR from 2 cascaded NORs, it seems as though propagation delay would not increase as long as manufacturers were using both NANDs and NORs.

Does anybody have any insight on all this, especially as to what is really done on manufactured ICs?

Best Answer

First of all, I am wondering if this is how it's actually done: i.e. when Intel makes a CPU, do they build all the registers, etc. using NAND/NOR gates, or do they have some other fancier way of doing things?

Registers aren't made out of gates, most often they are dedicated circuits. They can be seen as made with inverters (NOT), but only to a certain extent.

In CMOS technology, everlogic circuit is based on the inverter: NOR and NAND gates are just inverters with multiple inputs arranged in a clever way, basically. So the inverting gates are faster than non inverting ones, which are just inverting gates with a NOT at the output.

Also in dynamic logic, it's simpler to cascade two inverting blocks than putting NOT gates everywhere.

Consider that in some cases a circuit may be made out of separated blocks, so there may be a case in which the output is interfaced through one or more inverters for buffering.

And there is another advantage in that: integration. Having a small number of different gates helps in laying out the circuit, and uniforming the performance. Often libraries include logic blocks at different levels of complexity: transistor, gate, operator, or higher.

So, briefly, yes, fast processors are mostly using inverting gates.

Related Topic