How should I translate old TIG statement from UCF to new Vivado XDC files

ucfvivadoxdcxilinx

I have a short UCF file with the following content:

## Fan Control
## =============================================================================
##  Bank:               15
##      VCCO:           1.8V (VCC1V8_FPGA)
##  Location:           J48, Q1
## -----------------------------------------------------------------------------
NET "FanControl_PWM"    LOC = "BA37";           ## Q1.Gate; external 1k pullup resistor
NET "FanControl_Tacho"  LOC = "BB37";           ## J48.3; voltage limited by D2 (DDZ9678 - 1.8V zener-diode)
NET "FanControl_*"      IOSTANDARD = LVCMOS18;

# Ignore timings on async I/O pins
NET "FanControl_*"      TIG;

This file bounds two physical pins to two top-level ports. Additionally, the I/O standard is set to low-voltage CMOS 1.8 V, because bank 15 is sourced with 1.8 V.

To reduce warnings in Xilinx ISE (trace) I specified that all timing paths to and from these pins should be ignored (timing ignore -> TIG).

Question:

  • How can I translate TIG into Vivado's XDC syntax?

What I discovered so far:

  • set_false_path is only for internal paths and
  • set_disable_timing seams to be very special

Best Answer

set_false_path is not only for for internal paths.

You can use set_false_path for

The port from which all paths are to be set as false paths:

set_false_path -from [get_ports ]

set_false_path -to [get_pins {}]
set_false_path -through  [get_pins {}]
set_false_path -from  [get_pins {}]

set_false_path -from [get_cells <>] -to [get_cells <>]

If you want to set all paths between two clock domains:

set_false_path -from [get_clocks ] -to [get_clocks ]

Because you want to set false paths from i/o ports,use

set_false_path -from [get_ports FanControl_PWM]
set_false_path -from [get_ports FanControl_Tacho]