Electrical – System Verilog code syntax error

digital-logiclogic-gatessystem-verilogverilog

I wrote the following code:

module mul3 (
    input logic[1:0] d
);
mul3_op[0]=d[0];
endmodule;

But when I run it using Modelsim I get the following messages:

Error: (vlog-13069) mul3.sv(21): near "[": syntax error, unexpected
'['.

Error: mul3.sv(21): (vlog-13205) Syntax error found in the
scope following 'mul3_op'. Is there a missing '::'?

Please note that line 21 is actually the last line before endmodule in the code above. Any idea of what is wrong?

Best Answer

You missed assign keyword for that concurrent statement -

assign mul3_op[0] = d [0] ;