Electronic – DAC not working

dacfpga

I have a DAC connected to an FPGA, which I cannot get to work. The FPGA, in a loop, sends in the maximum value to the data pins and incrementally goes down to 0.

I have checked with a scope that the data pins are changing as expected, and I have checked that the clock is OK. Nevertheless, the output analogue signals IOUTA and IOUTB stay constant (around 1V).

The DAC can be configured through an SPI channel, but the default configuration should work out of the box. What could I be doing wrong for my DAC to stay constant despite the data pins changing?

Best Answer

What do you have connected to the outputs? They're current outputs, so 1 V as output can't be right. Connecting a load resistor to ground should convert the output current into a voltage.