Electronic – I am not able to instantiate sub modules inside always block

verilog

I am designing a floating point unit in verilog. I have designed separate adder, shifter and multiplier modules in verilog. I want to call all these modules and make a single main module. I am not able to instantiate these modules inside always block, but I also need clock in my main module. How do I overcome this problem?

Best Answer

You can't instantiate modules in always block. Do it before and assign a clock to that module.