Electrical – How to see the content of the SDRAM in the DE1-SOC while running (JTAG Altera cable)

fpgaintel-fpgaquartussdram

I have made a simple design in Quartus Prime, in verilog code, not using megawizard, but directly accessing the pins of the SDRAM. I am saving 2 x 16 bits binary numbers on 3 of the 4 banks of the SDRAM.

I have downloaded the design on my board DE1-SOC, and now I want to read the content of the SDRAM to see if the numbers actually passed to the SDRAM chip.

What should I do in this case?

There is a In-System memory content editor but I think it only works for on-chip RAM, or am I wrong?

Best Answer

If you have a working DRAM-Controller, there are several possibilities. Instantiate a NIOS-II with debugging-option, and you will be able to even debug using Eclipse CDT. If I remember correctly, there is also an Avalon-MM master that lets you peek into memory from System Console.

If however your design is the Controller and you want to debug it, there is no option to access the memory by other means, bypassing your design.

BTW: Using QSYS does not force you to start over, you can embed a Verilog component in a QSYS-project and vice versa. I prefer to have a QSYS-component as top-level entity.

Related Topic