Is it possible to set a STD_LOGIC_VECTOR(6 DOWNTO 0)
with a constant like so:
signal s1: std_logic_vector(6 downto 0);
s1 <= 12;
Or do I have to define it as a set of bits?
vhdl
Is it possible to set a STD_LOGIC_VECTOR(6 DOWNTO 0)
with a constant like so:
signal s1: std_logic_vector(6 downto 0);
s1 <= 12;
Or do I have to define it as a set of bits?
Best Answer
You can do it, but not directly. Something like this should work:
or
Of course at the begining of your file you should declare: