Electronic – VHDL 2008 fixed and floating point type synthesis support

vhdl

Which VHDL synthesis tools support the VHDL 2008 fixed and floating
point types as described at vhdl.org/fphdl? The
VHDL.org site states "all these packages are designed to be synthesizable in
VHDL-93". Which tools have yield successful results synthesizing the
VHDL-2008 fixed-point and floating-point types?

Second question, what is the status of VHDL-2008. Has it been ratified?

Best Answer

Yes, VHDL-2008 was ratified

"In February 2008, Accellera approved VHDL 4.0 also informally known as VHDL 2008, which addressed more than 90 issues discovered during the trial period for version 3.0 and includes enhanced generic types. In 2008, Accellera released VHDL 4.0 to the IEEE for balloting for inclusion in IEEE 1076-2008. The VHDL standard IEEE 1076-2008 was published in January 2009."

-http://en.wikipedia.org/wiki/Vhdl

Related Topic