An error in using FIFO block in system generator

errorfifofpgaxilinx system generator

I have designed a circuit in System Generator. I want to put a FIFO at the output before out gateway as shown in the below picture

enter image description here

When I run it I face to the following error

enter image description here

I should connect the we and re pins to a clock, hence I set clock probe at their inputs. I do not know where is wrong. Can any one help me in driving these two input ports of FIFO?

Best Answer

You are geting the error because you can't connect clock probe to a system generator block. But appart of that, what you are doing in this scheme, is the same of getting the WE and RE connected to '1' ! If your idea is connect 2 different clock domains, this is done with the clock signal inputs, that dont appear in sysgen blocks on simulink. But you can simulate this with constants in WE and RE, with diferent Rates.