Electronic – Generic free Verilog synthesis tools

synthesisverilog

Are there any free or open source synthesis tools available that can convert Verilog RTL into a generic gate netlist? (composed of generic NAND, NOR, XOR, D-flops/registers, etc. Optimization not required.). If not for the full language, how about for a "useful" subset of RTL (beyond merely a Verilog gate level netlist)?

Best Answer

Yosys does exactly what you want and supports a large portion of Verilog-2005. Have a look at the */rtl/ directories at https://github.com/cliffordwolf/yosys-bigsim/ for examples that can be synthesized with Yosys.

Disclosure: I am the author of Yosys.